两个38译码器

@凤齐960:两片38译码器之间怎么连线呢 -
巫俊19865566796…… 使能端不控制,直接接VCC和地接法是对的.希望实现单片机用A012三个io来点亮8个灯中的一个,你的接法是不对的.应该把发光二极管全部颠倒过来,发光二极管负极连接电阻,正极连接在一起后接电源5VCC,这样才能8中有一个亮.

@凤齐960:利用2个38译码器和4个开关控制16二极管,使其有流水灯的效果的程序. -
巫俊19865566796…… 这多简单!两个38译码器能组成4十六译码器,在16个输出端接发光二极管,把四个输入端接入单片copy机,然后可以让单片机的四个输出口分别输出0000到1111之间的任何数来改变二极管的点亮顺序,也可以zhidao把四个输入口接计数器的输出口,计数器的输入口接个低频振荡器,任然可以完成彩灯功能!

@凤齐960:怎么样可以用单片机同时控制38译码器的两个或两个以上的口? -
巫俊19865566796…… 展开全部38译码器只能通过三个口控制八个输出口中一个为低电平,其他口为高电平,不能够同时控制八个口中,你所说的两个或两个以上的.

@凤齐960:做16*16点阵,我就用两个38译码器做行驱动,列直接节单片机I/O可以吧? -
巫俊19865566796…… 不可以,单片机I/O直接驱动比较困难,建议通过三极管或普通数字集成电路缓冲一下.

@凤齐960:你好!如何使用一片38译码器来同时点亮两个以上的数码管?最好是用C编程,谢谢! -
巫俊19865566796…… 为什么要用38译码器呢?用38译码器,一个数码管就要扫描输出,数码管多了恐怕显示起来就暗了!每个数码管都有一个共阴(阳)脚可以用来控制是否点亮这一数码管,一般控制多个数码管会选择一组8位IO口来输出数码管显示的数据,另外用几个io口控制是哪个数码管显示(如果控制多个数码管的话)如P0口整个接到a-g,用P1.0 P1.1 P1.2 P1.3 连接到4个数码管的共阳(阴)端,这样想控制数码管1显示数据只需将P1.0置高,用P0口输出想显示的数就好

@凤齐960:38译码器转换4线16译码器 ? -
巫俊19865566796…… 38译码器不能转成4-16线输出,方便的可以用74HC154这个4-16线译码器更好.

@凤齐960:38译码器 电路图解读问题 -
巫俊19865566796…… 左下角的100,是这块芯片的功能引脚,100,表示左下角的3的端口都是高电平输入,只有在这个前提下这块芯片才能开始工作.上边的124,表示cba这三个口的权重,说白了就是因为芯片已经由硬件规定好了,a的权重是4,b的权重是2,c的权重是1.他们是不能换的.en是使能端,顾名思义就是说只有en使能了,芯片才能正常工作.1不取非而00都要取非,那是因为00的端口接了反相器,输入为零时,芯片里面才接到的是高电平.

@凤齐960:初学multisim 11,请问38译码器在哪里找,最好能给个截图. -
巫俊19865566796…… 见图,Place--->Component------>Search------>74LS138 应该就是了

@凤齐960:74LS138 有什么功能 -
巫俊19865566796…… 就是38译码器,是TTL系列的,也就是74系列,有三个输入端A0,A1,A2,其中A2是高位,输出是八个低电平输出Y0 ~ Y7,工作电压一般的5V就可以了,举个例子,你A0,A1,A2依次输入000,输出就是Y0,输入依次是001,输出就是Y1

@凤齐960:38译码器在单片机系统中的作用是什么? -
巫俊19865566796…… 这个根据三--八译码器功能和你设计的单片机系统功能需要而定.一般当外围芯片较多时 ,单片机的IO口不能提供如此多的片选信号时,用三八译码器即可以只用3个I/O口 分配 8 个片选信号给 8 个外围芯片,从而对外围芯片进行分时的读写操作! 希望对你有点帮助!

相关推荐

  • 两个74138构成4-16译码器
  • 用两个3-8译码器4-16
  • 4-16位译码器
  • 38译码器引脚图
  • 用quartus写的38译码器
  • 74ls139改3 8线译码器
  • quartus ii38译码器
  • 双24线译码器转为38
  • modelsim 三八译码器
  • quartus ii怎么生成译码器
  • verilog写38译码器
  • 8086实现38译码器
  • 74138扩展为4-16线译码器
  • 38译码器波形图
  • 三八译码器改成四十六
  • 3-8译码器扩展为4-16
  • 三八译码器引脚图
  • 38码译码器接线图
  • 使用quartus设计38译码器
  • 用verilog实现38译码器
  • 38译码器电路图
  • 38线译码器电路图
  • 38译码器quartus仿真
  • 2 4找译码器转换为3 8
  • 38译码器仿真波形图
  • 最普通的38译码器verilog
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网