自制电子时钟电路图

@融贫5860:电子电路之简易时钟控制电路 -
堵咽13721418375…… 用555电路可以实现.Udd取5V,C取100uF/16V,R1取1K,R2取68K,振荡周期约为0.7R2C=0.7*68000*0.0001,约为5秒.

@融贫5860:如何利用555定时器制作数字电子钟,要有电路图的 -
堵咽13721418375…… 先用555作成振荡器产生震荡频率,再用74LS90芯片组合成分频电路对震荡频率进行分频,然后用74LS92和74LS90分别作为时计数器和分、秒计数器,再加一个校时电路. 电路记得在中国电子DIY之家看到过,貌似还有制作实例的.

@融贫5860:利用8051单片机做一个电子时钟.只需要电子时钟的功能和电路图.
堵咽13721418375…… 用单片机:89C51制作的 LED电子钟 参考链接: http://www.51picavr.com/news/c8/2009-01/109.htm *APPLICATION NOTE E6000 ICEXPLORER *************** * Title: FOR colk_time * * Version: 00 * * Last Updated: * * MCU: AT89C91 * * FOR: ...

@融贫5860:我想做一个数字电子时钟,求电路图 -
堵咽13721418375…… 电源用干电池1.5V不行, 电路图 :

@融贫5860:数字电子时钟电路图用6个74LS160集成芯片,6个CD4511集成芯片,1个NE555,36个0.75K电阻,3个或门,5个非门,组成一个数字电路时钟,需要能用... - 作业帮
堵咽13721418375…… [答案] NE555可以用来产生'标准计时时钟'给计数器,再用集成电路74LS160 设计产生2个60进制计数器,一个24进制计数器,再有CD4511 对BCD码译码产生数码显示的7段(a~g)或门和非门在设计计数器时用到

@融贫5860:51单片机制作简易电子钟,求电路图和程序,要求6位显示,24小时制 -
堵咽13721418375…… #include "reg51.h" #define uint unsigned int #define uchar unsigned char unsigned char code led[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; uchar sec,min,hour; bit secup=00; uchar n=0; void delay(unsigned int ms) { unsigned int i=...

@融贫5860:用74系列芯片制作一个电子时钟 -
堵咽13721418375…… 用6片74ls160分别接成2、4、6、10、6、10进制计数器,然后 2、4级联做时,6、10级联一个做分,一个做秒.各输出端接248译码器.248出来的直接接在7段码上显示就可以了.

@融贫5860:我想用数字电路做一个电子时钟,谁能告诉我怎么做?该看哪方面的东西. -
堵咽13721418375…… 看样子你是要用基本的数字电路来搭了 32.768K的晶振+分频电路+计数器+LED显示(含BCD码的译码) 分频和计数用CD或者74系列都可以

@融贫5860:求,电子钟电路图 -
堵咽13721418375…… 其实挺简单的,你用的什么单片机?如果是51的 用p0口作为段码输出 P2.0~P2.3作为位码加三极管(或者7407之类的驱动) LED选择共阳极四段数码(带中间两点的,12脚的.如果是14脚的,需要再另外一个IO控制这两个点闪烁) P3 的中断口 接按键 用来调整,再用P1的一个IO 接三极管驱动蜂鸣器用作报警及闹铃,其他的 就是复位、晶振基本电路 这样电路就基本完成了

@融贫5860:数码管电子时钟电路图,不要单片机的,简单点的, -
堵咽13721418375…… 这种电子时钟电路在过去的钟控收音机上很普遍,也很简单,一块厚膜芯片、一排专用的LED数码管和几个按扭就行.现在不知还有没有这样的产品,就不知道了.

相关推荐

  • 单片机电子钟仿真图
  • 恢复手机桌面时钟设置
  • multisim仿真电路图
  • 电子时钟设计单片机
  • 数字电子钟multisim仿真
  • 家用电子时钟显示屏
  • 电子钟pcb设计图
  • 时钟显示器在线
  • 实时时钟电路图
  • 数字电子钟仿真电路图
  • 简易电子时钟线路图
  • c51单片机简易电子时钟
  • proteus电子钟仿真电路图
  • 电子时钟电路连接步骤
  • 电子时钟网页版
  • 30-60简易秒表仿真电路图
  • 电子台式钟表设置
  • 单片机简易电子时钟
  • 51单片机电子钟仿真图
  • 电子时钟的设计与制作
  • 电子时钟原理图及pcb图
  • 数字电子钟的仿真图
  • 电子时钟在线使用
  • 24小时电子时钟设计
  • 数字电子时钟代码和仿真图
  • 时钟电路和复位电路图
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网