3-8线译码器功能表

@劳复6643:芯片74LS138有什么用? -
延亭19862079516…… 原发布者:283669153 74ls138译码器74ls138译码器内部电路逻辑图功能表简单应用74HC138:74LS138为3线-8线译码器,共有54/74S138和54/74LS138两种线路结构型式,其74LS138工作原理如下:当一个选通端(G1)为高电平,另两个选...

@劳复6643:3 - 8译码器的工作原理 -
延亭19862079516…… 3-8译码器的功能就是把输入的3位2进制数翻译成10进制的输出. 简单介绍: 3-8译码器的输入是3个脚,输出是8个脚.用高低电平来表示输入和输出. 1、输入是二进制.3只脚也就是3位二进制数.输入可以3位二进制数.3位二进制最大是...

@劳复6643:1、3线—8线译码器的功能是什么?2、n变量完全译码器能实现什么样的组合逻辑函数? - 作业帮
延亭19862079516…… [答案] 1.是将二进制代码所表示的相应信号或对象“翻译”出来. 2.实现≤N变量的组合逻辑函数!

@劳复6643:3 - 8译码器有什么实际应用? -
延亭19862079516…… 就是个38译码器\r\n输入端A2~A0组成的三位2进制数是多少,相应的输出Y为低电平,其他为高电平.\r\n如A2~A0:111,即7,则Y7输出为低电平,其他为高电平.\r\n你可以搜一下,看一下它的功能表.

@劳复6643:大家帮忙给解释下译码器的原理功能吧?38译码器(74LS154,74HC138)的功能是什么啊??有详细的资料吗?? -
延亭19862079516…… 用法:通过三位二进制数来控制输出低电平.原理:有三个选通端,只有当选通端为100时138才工作,每一个二进...

@劳复6643:什么是译码器 -
延亭19862079516…… 原发布者:linghao1124 译码器1.译码器的概念与分类1.译码器的概念与分类译码是编码的逆过程,译码:译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的信号.(即电路的某种状态).(即电路的某种状态一特定含义的信号.(...

@劳复6643:芯片74HC137功能和74HC138功能 一样吗? -
延亭19862079516…… 芯片74HC137功能和74HC138功能 基本一样.有点差别,74HC137叫3线-8线锁存译码器,有地址锁存功能,4脚为高电平时,锁存了地址.4脚为低电平时,输出的真值表状态和74HC138一样.

@劳复6643:求数字电路高手!!关于3线8线译码器问题,Y0非到Y7非输出端加了个圆圈输出后是什么?是Y吗
延亭19862079516…… 输出端带圈的,就是指输出的有效电平为低电平,输入端带圈的,表示输入有效电平为低电平,否则为高电平,你看一下3线-8线译码器的功能表就可以知道,当它作为译码器的逻辑功能使用时,输出的有效电平都为低电平

@劳复6643:用3线 - 8线和2线 - 4线构成6线 - 64线译码器???急!!!! -
延亭19862079516…… 给你算一算帐,3线-8线译码器是8个译码输出,74LS138就是,要构成6线-64线译码器码,要用8片(8x8片)74LS138,还要用两片2线-4线译码器来选8片74LS138,这图怎么画,很庞大,但并不难,就是重复画相同的东西.那真值更是烦人,要64行的表格.都是重复做相同的事.实在是没有什么意义,这种电路明白原理,会做就行呗,干嘛非要做那麻烦的东西.

@劳复6643:用三片3线 - 8线74ls138组成5线 - 24线译码器 -
延亭19862079516…… 用三片3线-8线74ls138组成5线-24线译码器,74LS138有三条选择输入线既 A,B,C.片脚为脚1,脚2,脚3.把三片74LS138的A,B,C,分别并联在一起,既每条线上连有3个A,3个B,3个C.这样,占去了5条线的3条.还剩两条线,用于控制三片74LS...

相关推荐

  • 二线四线译码器功能表
  • 4-16线译码器功能表
  • 2-4线译码器功能表
  • 3-8译码器构成5-32
  • 三线八线译码器真值表
  • 38译码器输入输出波形图
  • 3-8线译码器逻辑图
  • 3-8译码器芯片功能表
  • 用两个3-8译码器4-16
  • 3-8译码器实验报告
  • 3-8译码器真值表
  • 3-8译码器逻辑电路图
  • 3线8线译码器引脚图
  • 3-8译码器原理图解
  • 3-8译码器的逻辑功能
  • 3-8线译码器图
  • 3-8译码器电路图
  • 3-8线译码器工作原理
  • 3-8译码器逻辑表达式
  • 3-8线译码器的工作原理
  • 3-8线译码器有几个输入
  • 2-4线译码器真值表
  • 三线八线译码器输出表达式
  • 三个3-8线译码器
  • 三线八线译码器逻辑表达式
  • 3-8编码器真值表
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网