38译码器逻辑方程式

@壤廖3198:38线译码器与138与非门实现与或非的逻辑函数y=AB+BC - 作业帮
桑钧13730338152…… [答案] 将逻辑函数y=AB+BC展开为最小项表达式,然后把与这些最小项相对应的138输出端分别接到与非门的输入端即可

@壤廖3198:使用38译码器实现一个一般逻辑表达式 -
桑钧13730338152…… 令74ls138的三个选通输入依次是abcy1=ac的话列出真值表,当abc=101或者111的时候y1=1.当abc=101时,译码器选择y5(即此时y5输出0,其余输出1)将y5和y7接到门电路的与非门即可.y2y3的实现同理y2好像可以化简a先跟bc取异或再跟bc取与.

@壤廖3198:使用38译码器实现一个一般逻辑表达式 -
桑钧13730338152…… http://blog.163.com/asm_c/blog/static/248203113201342610230319/ 参考.

@壤廖3198:求vhdl写的38译码器代码. -
桑钧13730338152…… 给你译码部分的代码,其它的自己完成吧: case data_input(3 downto 0) is when "0000"=> seg_data<="11000000"; --0 when "0001"=> seg_data<="01111001"; --1 when "0010"=> seg_data<="10100100"; --2 when "0011"=> ...

@壤廖3198:试写出图3所示电路输出Y1和Y2的函数式. -
桑钧13730338152…… 38译码器 反码输出后经与非门 Y1=(Y0'Y2'Y4'Y6')'=Y0+Y2+Y4+Y6=A'B'C'+A'BC'+AB'C'+ABC'=C' Y2=(Y1'Y3'Y5'Y7')'=Y1+Y3+Y5+Y7=A'B'C+A'BC+A'BC'+ABC=C

@壤廖3198:一个由3线 - 8线译码器和与非门组成的电路如所示,试写出Y1和Y2的逻辑表达式 -
桑钧13730338152…… 令74LS138的三个选通输入依次是ABC Y1=AC的话 列出真值表,当ABC=101或者111的时候 Y1=1. 当ABC=101时,译码器选择Y5(即此时Y5输出0,其余输出1) 将Y5和Y7接到门电路的与非门即可. Y2 Y3的实现同理 Y2好像可以化简 A先跟BC取异或再跟BC取与 .

@壤廖3198:试用3线 - 8线译码器74LS138和门电路实现下面多输出逻辑函数. 请问该怎么做呢?这道题是要画 -
桑钧13730338152…… 把38译码器的输出Y7和Y5通过或门输出就是Y1.同理,可以把第二个化简为最小项,再通过38译码器输出与或非就是输出!

@壤廖3198:一道题 关于38译码器 74LS138实现逻辑函数的题 -
桑钧13730338152…… F=∑m(3,5,6,7)=m3+m5+m6+m7= (m3'*m5'*m6'*m7')'

@壤廖3198:verilog编写的三八译码器,module decode(Y,A);output[7:0]Y;intput[2:0]A;reg[7:0]Y;always... -
桑钧13730338152…… module add32(x, y, cin, of, zf, out); input [7:0]x,y; input cin; output [32:0]out; output zf, of; reg [32:0]out; wire [31:0]a; wire [31:0]b; [7:0]a=x; [7:0]b=y; [15:8]a = x; [15:8]b = y; [31:16]a = [15:0]a; [31:16]b = [15:0]b; always@(out or cin or a or b) begin case(...

@壤廖3198:51单片机中LS138译码器的原理 -
桑钧13730338152…… 这个数字芯片属于3线-8线译码器,输入3位,输出8位. 因为3位2进制数可以组成8个状态,即000,001,010,011,100,101,110,111.然后没一种状态的输出是唯一的,8位输出中有一位为0.举个例子:输入假如输入为000,那么输出就是01111111,假如数码管是共阳型,这时第一位数码管就被点亮;假如输入为001,那么输出就是10111111, 这时点亮的数码管就是第二位了;以此类推,输入010时输出就为11011111……一直到输入111,输出11111110. 就是这8种组合.当然高级应用可以用来做很多位其它译码

相关推荐

  • 38译码器的输出表达式
  • 38线译码器逻辑图
  • 2 4找译码器转换为3 8
  • 译码器的逻辑表达式
  • 38译码器的逻辑功能
  • 38译码器引脚功能
  • 38译码器门级电路图
  • 38译码器y0的表达式
  • 38译码器使能端接什么
  • 3线8线译码器逻辑表达式
  • 38译码器仿真
  • 38译码器电路图
  • 38译码器verilog
  • 38译码器verilog原理图
  • 38译码器逻辑图
  • 三线八线译码器逻辑表达式
  • 38译码器简单原理图
  • 三线八线译码器输出表达式
  • 译码器逻辑图
  • 2-4线译码器逻辑图
  • 38译码器原理图电路
  • 3线8线译码器逻辑图
  • 38译码器输入输出
  • 38译码器电路图怎么画
  • 38译码器的基本原理
  • 38译码器结构图
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网