4-16译码器真值表图片

@贡易2978:4线 - 16译码器的真值表 函数表达式以及逻辑图是怎么样的? -
咸委13127102594…… http://www.dz3w.com/info/logicIC/0083291.html

@贡易2978:译码器的工作原理是怎么样子的? -
咸委13127102594…… 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号.有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码. 在图1中,74138是一...

@贡易2978:请教一下,有没有类似的4 - 16译码器芯片? -
咸委13127102594…… 74154和74LS154都是4线--16线译码器.

@贡易2978:如果只能用2线 - 4线译码器扩展成4线 - 16线译码器,问最少要用2线 - 4线译码器多少? -
咸委13127102594…… 用2线-4线译码器扩展成4线-16线译码器,输出16线,需要4片2线-4线译码器级联可输出16线,这4片还要能4选1片选,所以,再用一片2-4译码器.答案,一共需要5片2线-4线译码器.

@贡易2978:4线16线译码器与4线10线译码器两者在功能上有何不同? -
咸委13127102594…… 译码器(decoder)是一类多输入多输出组合逻辑电路抄器件,其可以分为:变量译码和显示2113译码两类. 变量译码器一般是一种较少输入变为较多5261输出的器件,常见的有n线-2^n线译码和8421BCD码译4102码两类;显示译码器用来将二进制数转换成对应的七段码,一般其可分为驱动1653LED和驱动LCD两类.

@贡易2978:74LS145是不是运算放大器??? -
咸委13127102594…… 明显不是,74带头的都是数字逻辑电路,不可能是运算放大器的,运放属于模拟电路.帮你查了一下,74LS145是4线-10线译码器(带驱动的),下面是真值表.芯片一共16个脚,16、8是电源和地,第15-第12脚分别对应P0-P3,第1-7脚应对Q0-Q6,第9-11脚对应Q7-Q9

@贡易2978:用3线 - 8线和2线 - 4线构成6线 - 64线译码器???急!!!! -
咸委13127102594…… 给你算一算帐,3线-8线译码器是8个译码输出,74LS138就是,要构成6线-64线译码器码,要用8片(8x8片)74LS138,还要用两片2线-4线译码器来选8片74LS138,这图怎么画,很庞大,但并不难,就是重复画相同的东西.那真值更是烦人,要64行的表格.都是重复做相同的事.实在是没有什么意义,这种电路明白原理,会做就行呗,干嘛非要做那麻烦的东西.

@贡易2978:如何用译码器设计3人表决器? -
咸委13127102594…… http://baike.baidu.com/view/208558.html?wtp=tt

@贡易2978:求解答 熟悉逻辑题 用一个4输入16输出变量译码器和两个8输入与非门组成有奇偶输出的4位奇偶 -
咸委13127102594…… 4-16线译码器,可采用74ls154,列出输入信号 D、C、B、A 的状态值,共有16个,每个值对应一个输出线; 0、0、0、0; 0、0、0、1;奇 0、0、1、0;奇 ..... 有7个奇数值,将其对应的输出线连接到一个8输入与非门(74LS30),与非门输出=1,表示为奇数值,反之为偶数值; 自己去完成吧

@贡易2978:如何用一个4 - 16译码器和或门实现一个七段译码器 -
咸委13127102594…… 这倒是能实现,用一个4-16译码器,和或门实现,要用CMOS的4-16译码器,译码输出为高电平有效,取Y0~Y9输出信号.驱动数码管的每一段就需要一个或门,所以,要用7个或门.这种电路没有什难度,就是很麻烦,要是仿真可以,画7个或门.可要是做实物,可是有点烦,连线太多了.

相关推荐

  • 列出24译码器真值表
  • 16-4线编码器真值表
  • 4-16位译码器
  • 4-16线译码器功能表
  • 4线16线译码器真值表
  • 两个74138构成4-16译码器
  • 4-16线译码器电路图
  • 用两个3-8译码器4-16
  • 16-4优先编码器真值表
  • 4-16译码器电路图
  • 3-8译码器扩展为4-16
  • 4-16线译码器有哪些
  • 16线4线74148真值表
  • 38译码器扩展4-16
  • 16-4线编码器逻辑图
  • 16-4线编码器手绘电路图
  • 4线16线译码器74154
  • 用五个2-4译码器4-16
  • 4线16线译码器原理图
  • 4线16线译码器功能表
  • 4线16译码器芯片
  • 3-8线译码器真值表
  • 4线16线译码器
  • 4-16译码器芯片
  • 4-16译码器逻辑图
  • 74138扩展为4-16线译码器
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网