74138的verilog描述

@迟辰2280:Error (10170): Verilog HDL syntax error at Verilog1.v(2) near text "74138"; expecting an identifier -
微很14779253773…… 你好!74138u1(.Y(Y),.A(A),.G1(VCC),.G2(GND));74138和u1之间加个空格试试 仅代表个人观点,不喜勿喷,谢谢.

@迟辰2280:74138的译码器 -
微很14779253773…… 74138,是一个3到8的译码器,分为74HC138(cmos)和74LS138(TTL)两种,下图是其逻辑符号及管脚排布,下表中列出了该器件的逻辑功能,从表中可以看出其输出为低电平有效,使能端G1为高电平有效,G'2、G'3为低电平有效,当其中一个...

@迟辰2280:74HC173三态输出四位D触发器的Verilog实现代码是什么啊? -
微很14779253773…… 要实现该段代码,首先要了解74HC173器件的功能,为此,专门差了一下该器件的资料.找到一份1988年的资料如下链接所示.以此为例,给出相应的Verilog实现代码,接口信号略有调整,改为用总线形式表示的输入d[3:0]和输出q[3:0].http://...

@迟辰2280:利用芯片74138控制的数码管的C程序 -
微很14779253773…… 从你程序没看出什么问题,可以从以下方面检查下:1.不知道你table数组存的什么东西,你可以直接将P2=table[num] ;直接改为P2=table[3];和P2=table[4];首先确定问题是不是出在3、4led显示上,2.如果不是,可以将 case 0x7e: num=1; //改为3 break; case 0xbe: num=2; //改为4 break; 确定是不是没有产生3、4按键,检查3、4按键的外部电路.

@迟辰2280:用74138和7400各一片实现三人表决电路的逻辑表达式? -
微很14779253773…… 三个人 A,B,C 表达式=AB+BC+AC. 一片7400上面有4个与非门,因此将ABC两两组合,之后三个输出到 138上,代表了3个状态.

@迟辰2280:74138译码器是不是输入端为n个,输出端为就为2^n个? -
微很14779253773…… 74138译码器有三个输入端,八个输出端.是固定的.是一种3线-8线译码器,三个输入端CBA共有8种状态组合(000-111),可译出8个输出信号Y0-Y7.这种译码器设有三个使能输入端,当G2A与G2B均为0,且G1为1时,译码器处于工作状态,输出低电平.当译码器被禁止时,输出高电平

@迟辰2280:verilog编写的三八译码器,module decode(Y,A);output[7:0]Y;intput[2:0]A;reg[7:0]Y;always... -
微很14779253773…… module add32(x, y, cin, of, zf, out); input [7:0]x,y; input cin; output [32:0]out; output zf, of; reg [32:0]out; wire [31:0]a; wire [31:0]b; [7:0]a=x; [7:0]b=y; [15:8]a = x; [15:8]b = y; [31:16]a = [15:0]a; [31:16]b = [15:0]b; always@(out or cin or a or b) begin case(...

@迟辰2280:如何利用74138芯片三个数码管循环显示4 - 8 -
微很14779253773…… 是仿真,还是实物电路?三个数码管,是采用动态显示方式吗?如果是仿真,采用动态方式显示,是实现不了的.是因为软件的原因.如果是实物还可以.

相关推荐

  • www.sony.com.cn
  • 3-8译码器verilog
  • javascript 在线
  • 免费在线计算器
  • javascript入门
  • verilog语言实现74138
  • 一键拍照计算
  • 制作74138器件verilog
  • xtransfer
  • oneplus 9r
  • www.vivo.com
  • verilog计数器设计
  • verilog的assign
  • 74161计数器的verilog
  • verilog全减器
  • 四位比较器verilog
  • 最普通的38译码器verilog
  • 用verilog实现38译码器
  • verilog设计74161
  • 8位加减计算器设计verilog
  • verilog74160模10计数器
  • verilog计数器代码
  • 四位比较器verilog实现
  • 适马 x3
  • verilog语言38译码器
  • verilog用if写38译码器
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网