99秒表设计实验报告

@金闻6749:99秒马表的设计与制作? -
牧蚀19849411041…… 主要硬件:89C51或89S51单片机一个、7447解码器两个、共阳极数码管两个、常开按钮一个、12MHZ晶振一个、20P电容两个、10微法电容一个、8.2K10K电阻各一个、470欧姆电阻14个.按钮和10K电阻接P1.0.P0.0-P0.3脚对应接一块...

@金闻6749:基于单片机89C51的计时器或秒表 -
牧蚀19849411041…… 到我的空间,看“用AT89C51单片机设计一个秒表:00.0~99.9”,即可. 其中,也有“00~99秒”的内容,在后面的链接上.

@金闻6749:AT89C51单片机设计一个简易秒表? -
牧蚀19849411041…… 定时器10ms中断 检测按键且10ms位加一 若10ms=10,100ms位加一 若10ms=10,1000ms位加一 以此内推 显示最好用LCD的 用数码管的也行 有疑问mail:[email protected]

@金闻6749:单片机秒表设计!! -
牧蚀19849411041…… #include <reg51.h> #include <intrins.h> unsigned char data dis_digit; unsigned char key_s, key_v; unsigned char code dis_code[11]={0xc0,0xf9,0xa4,0xb0, // 0, 1, 2, 3 0x99,0x92,0x82,0xf8,0x80,0x90, 0xff};// 4, 5, 6, 7, 8, 9, off unsigned char dis_buf[...

@金闻6749:急求!单片机99秒表课程设计,回答的好追加!
牧蚀19849411041…… #include&lt;reg52.h&gt; #define uchar unsigned char #define uint unsigned int uchar code table[10] = {0x03, 0x9f, 0x25, 0x0d, 0x99, 0x49, 0x41, 0x1f, 0x01, 0x09}; //数码管显示代码 uchar num,aa; void delay() { uint x,y; for(x=20;x&gt;0;x--) for(y=30;y...

@金闻6749:单片机的秒表设计的程序 -
牧蚀19849411041…… 这个程序本身思路都已经非常清晰了呀,主要就是再把流程优化下即可了.定时器T0只负责产生秒信号,用两个计数单元来计数需要显示的内容,在低位秒信号满100后清零同时把高位单元加一,还有就是对按键的处理和显示的处理问题上,比如两个键同时按下如何处理,显示是用锁位来实现,还是不停的动态扫描实现等等

@金闻6749:设计一个数字秒表 -
牧蚀19849411041…… 数字秒表电路设计2007年12月18日 星期二 下午 09:16 数字秒表电路设计 一、工作原理 本电路由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成.如下图所示: 启动清零复位电路主要由U6A、U6B、U7B、U7D组成...

@金闻6749:数字式秒表0.1秒信号源设计及制作
牧蚀19849411041…… 这个应该有用 数字式秒表设计报告 说明: 本次资料是一个数字式秒表的课程设计报告,要求如下:设计并制作符合要求的电子秒表;秒表由6 位七段LED显著器显示,其中两位显示“min”,四位显示“s”,其中显示分辩率为0.01 s;计时最大值为99 min59.99s;计时误差不得超过0.01s;具有清零、启动计时、暂停计时及继续计时等控制功能;控制操作按键不得超过2个.

@金闻6749:求EDA数字秒表(显示99秒)设计 0~99,99~0,的程序 -
牧蚀19849411041…… 自己修改下,使其符合你所需的要求 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity year is port(clk:in std_logic; y1,y2:out std_logic_vector(3 downto 0)); end year; architecture one of year is signal q1,q2:std_logic_...

@金闻6749:大学毕业论文的问题 (设计一个秒表控制电路) -
牧蚀19849411041…… 一、 实验目的 1、 熟悉数字式频率计的基本工作原理. 2、 熟悉数字频率计中计数显示及控制等部分的综合设计及调试方法. 二、实验原理 数字频率计是测量电信号频率的仪器之一,其原理图如下所示: ...... 被测信号经过放大整形成为脉冲信...

相关推荐

  • 秒表检测报告
  • 电子秒表仿真实验报告
  • 数电简易秒表设计报告
  • 在线秒表计
  • 用计数器设计简单秒表
  • 简易秒表的实验总结
  • 电子秒表设计报告结论
  • 定时器秒表实验报告
  • 单片机简易秒表设计报告
  • 电子秒表设计心得体会
  • 单片机倒计时秒表实验报告
  • 电子秒表实验报告
  • 简易秒表设计实验报告心得
  • 简易秒表实验报告
  • 数字式秒表设计实验报告
  • 数字秒表设计实验报告
  • 24小时计时器实验报告
  • 数字秒表课程设计报告
  • 电子秒表设计实验
  • 简易秒表设计实验原理
  • 电子秒表的设计与仿真
  • 秒表时间研究实验报告
  • 电子秒表设计实验报告
  • 倒计时秒表实验报告
  • 数电数字秒表设计实验报告
  • 0-99秒表单片机
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网