用74138做成一个全加器

@阎官6009:求用两片74ls138设计一个全加器的电路图?? -
楚亨19736908392…… 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

@阎官6009:求用两片74ls138设计一个四位全加器的电路图??谢谢 -
楚亨19736908392…… 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

@阎官6009:74h138实现一位全加器? 在线等,急求!要电路图,不要原理的 -
楚亨19736908392…… 先纠正一下,不是74H138,没有这个型号的器件,应该是74HC138(3-8译码器). 单用一片74HC138无法实现全加器功能,还要加一片双通道的4输入与非门(74HC20).实用电路如下图——

@阎官6009:用译码器74138和与非门设计一位全加器和全减器(用异或门和与非门),设置一控制变量M,用来控制作家非或减法,画出真值表和电路图,最好有卡诺图 -
楚亨19736908392…… y

@阎官6009:试用74HC138实现一位“全加器”电路 -
楚亨19736908392…… 分别用ABC表示 两个加法位与一个进位写真值表 ABC HL 000 00 001 01 010 01 011 10 100 01 101 10 110 10 111 11 H= O3+O5+O6+O7 L= O1+O2+O4+O7 H为加法结果高位L为低位 这样用一个138加点或门就行了

@阎官6009:用74ls138设计一个全加器 - 作业帮
楚亨19736908392…… [答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@阎官6009:如何用3/8线译码器74LS138完成全加器的功能 -
楚亨19736908392…… 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据...

@阎官6009:用3线 - 8线译码器74HC138和门电路设计一个全加器组合逻辑电路设计 答得完整且正确另加分 - 作业帮
楚亨19736908392…… [答案] 全加器逻辑表达式为:

@阎官6009:什么是一位全加器,怎么设计逻辑电路图 -
楚亨19736908392…… 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器. 一位全加器可以处理低位进位,并输出本位加法进位.多个一位全加器进行级联可以得到多位全加器.常用二进制四位全加器74LS283. ...

@阎官6009:可否采用一片2线 - 4线译码器74LS139或一片3线 - 8线译码器74LS138设计一个全加器.为什么? -
楚亨19736908392…… 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

相关推荐

  • 译码器74138全减器
  • 用74138设计全减器
  • 用138译码器设计全加器
  • 74ls138与74s20全加器
  • 用74138和7420设计全加器
  • 74ls138引脚图及功能
  • 74hc138译码器编全加器
  • quartusii74138仿真过程
  • 用74ls138和74ls20实现全加器
  • 用74138设计电路过程
  • 用74151和74138设计全加器
  • 74hc138全加器接线图
  • 74ls1384线16线译码器
  • 用138译码器实现全加器
  • 74ls138实现三人表决电路
  • 74ls20和74ls138全加器
  • 74ls138译码器
  • 用一片74hc138实现全加器
  • 用74ls138设计一个全减器
  • 74ls138译码器全加器
  • 用138和20设计全加器
  • 74l138译码器三人表决器
  • 74138三人表决器逻辑图
  • 74138全加器接线图
  • 两个74ls138组成4线16译码器
  • 用74138和7420实现全加器
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网