138译码器实现全加器

@訾慧2175:用74ls138设计一个全加器 - 作业帮
束印18192479550…… [答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@訾慧2175:用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, - 作业帮
束印18192479550…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

@訾慧2175:能否用74ls139设计一位全加器 -
束印18192479550…… 74ls139是双2线-4线译码器,只有4个输出Y0~Y3,是不能设计一位全加器或全减器.因为,一位全加器,要有两个加数,A,B,还有一位进位Cy,共三位变量,就有8个组合,即对应000~111,要用译码器,就要用8个输出端:Y0~Y7,因此,这要用3线-8线译码器,74LS138来做.

@訾慧2175:用3线 - 8线译码器74HC138和门电路设计一个全加器组合逻辑电路设计 答得完整且正确另加分 - 作业帮
束印18192479550…… [答案] 全加器逻辑表达式为:

@訾慧2175:74h138实现一位全加器? 在线等,急求!要电路图,不要原理的 -
束印18192479550…… 先纠正一下,不是74H138,没有这个型号的器件,应该是74HC138(3-8译码器). 单用一片74HC138无法实现全加器功能,还要加一片双通道的4输入与非门(74HC20).实用电路如下图——

@訾慧2175:试用74HC138实现一位“全加器”电路 -
束印18192479550…… 分别用ABC表示 两个加法位与一个进位写真值表 ABC HL 000 00 001 01 010 01 011 10 100 01 101 10 110 10 111 11 H= O3+O5+O6+O7 L= O1+O2+O4+O7 H为加法结果高位L为低位 这样用一个138加点或门就行了

@訾慧2175:如何用集成二进制译码器74LS138和与非门构成全加器 -
束印18192479550…… 我这里建议你使用两片74LS138芯片 一片控制s函数 一片控制C函数 由于每片芯片都有三个使能端,你只要每片都选用一个就行了 其余的使能端接地就行了.关键的地方来,使用第一片芯片用于函数S 那么这片芯片的使能端接1 为什么 自己去想.那么如何去判断要使用进位信号呢 这里你就要使用三个 输入 ABC 请你记住 当只有 三个变量中 有两个或两个以上同时为1时 才进位,也就是说 控制C函数的芯片才被激活 好了 具体咋做 自己去设计了哈 我已经给你了讲够明白的了 在电脑上画图 不好画 你自己去画吧!

@訾慧2175:求用两片74ls138设计一个四位全加器的电路图??谢谢 -
束印18192479550…… 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

@訾慧2175:用74ls138怎样设计全加器做电路图用什么软件 -
束印18192479550…… 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@訾慧2175:用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 - 作业帮
束印18192479550…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

相关推荐

  • 用138译码器设计全加器
  • 两个74138构成4-16译码器
  • 用138设计一位全加器
  • 74ls139改成38译码器
  • 用74138和7420设计全加器
  • 138译码器引脚图及功能
  • 用74138实现全加器
  • 74ls138与74s20全加器
  • 三线八线译码器实现全加器
  • 138译码器四输入例题
  • 用38译码器设计一位全加器
  • 74138设计一个全加器
  • 译码器生成全加器
  • 用74138和7420实现全加器
  • 74hc138设计全加器
  • 用74ls138设计一个全加器
  • 74ls139改38线译码器
  • 74139变3-8译码器数电图
  • 三位全加器真值表
  • 138译码器图
  • 74138设计全加器
  • 全加器逻辑电路图
  • 74ls139扩展为3-8译码器
  • 基本门电路实现全加器
  • 74138拓展为4-16译码器
  • 用74138设计一位全加器
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网