用138设计一位全加器

@汲昂4568:用74ls138设计一个全加器 - 作业帮
季心15567985782…… [答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@汲昂4568:试用74HC138实现一位“全加器”电路 -
季心15567985782…… 分别用ABC表示 两个加法位与一个进位写真值表 ABC HL 000 00 001 01 010 01 011 10 100 01 101 10 110 10 111 11 H= O3+O5+O6+O7 L= O1+O2+O4+O7 H为加法结果高位L为低位 这样用一个138加点或门就行了

@汲昂4568:用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, - 作业帮
季心15567985782…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

@汲昂4568:能否用74ls139设计一位全加器 -
季心15567985782…… 74ls139是双2线-4线译码器,只有4个输出Y0~Y3,是不能设计一位全加器或全减器.因为,一位全加器,要有两个加数,A,B,还有一位进位Cy,共三位变量,就有8个组合,即对应000~111,要用译码器,就要用8个输出端:Y0~Y7,因此,这要用3线-8线译码器,74LS138来做.

@汲昂4568:求用两片74ls138设计一个全加器的电路图?? -
季心15567985782…… 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

@汲昂4568:用74ls138设计一个全加器 -
季心15567985782…… Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

@汲昂4568:74h138实现一位全加器? 在线等,急求!要电路图,不要原理的 -
季心15567985782…… 先纠正一下,不是74H138,没有这个型号的器件,应该是74HC138(3-8译码器). 单用一片74HC138无法实现全加器功能,还要加一片双通道的4输入与非门(74HC20).实用电路如下图——

@汲昂4568:如何用74HC138实现一位“全加器”电路 -
季心15567985782…… 只能从网上找到这些资料了,别的就不懂了 一位全加器(FA)的逻辑表达式为:Fi=Ai⊕Bi⊕CiCi+1=AiBi+BiCi+CiAi如果将全加器的输入置换成Ai和Bi的组合函数Xi和Yi(S0…S3控制),然后再将Xi,Yi和进位数通过全加器进行全加,就是ALU的逻辑结构结构.即 Xi=f(Ai,Bi)Yi=f(Ai,Bi)不同的控制参数可以得到不同的组合函数,因而能够实现多种算术运算和逻辑运算.

@汲昂4568:只用两片74LS138设计一个全加器 -
季心15567985782…… 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

@汲昂4568:用3线 - 8线译码器74HC138和门电路设计一个全加器组合逻辑电路设计 答得完整且正确另加分 - 作业帮
季心15567985782…… [答案] 全加器逻辑表达式为:

相关推荐

  • 138译码器设计全加器
  • 四位全加器设计
  • 用74138和7420设计全加器
  • 138译码器实现全加器
  • 用74138实现全加器
  • 一位全加器设计代码
  • 74ls138引脚图及功能
  • 74ls138构成一位全加器
  • 用74hc138设计一位全加器
  • 74ls138和20设计全加器
  • 74ls138与74s20全加器
  • 译码器全加器
  • 一位全加器电路图
  • 74hc138设计全加器
  • 用74138设计全减器
  • 利用74hc138设计全加器
  • 二位全加器设计
  • 一位全加器型号
  • 一位全加器卡诺图
  • 3-8译码器设计全加器
  • 一位全加器版图
  • 一位全加器仿真图
  • 一位全加器引脚图
  • 用74hc138设计一个全加器
  • 一位全加器电路连接图
  • 74ls138全加器真值表
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网