用74ls138构成全加器

@佘娣524:用74ls138设计一个全加器 - 作业帮
宇弘13872014225…… [答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@佘娣524:用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, - 作业帮
宇弘13872014225…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

@佘娣524:用74ls138设计一个全加器 -
宇弘13872014225…… Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

@佘娣524:用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 - 作业帮
宇弘13872014225…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

@佘娣524:求用两片74ls138设计一个全加器的电路图?? -
宇弘13872014225…… 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

@佘娣524:求用两片74ls138设计一个四位全加器的电路图??谢谢 -
宇弘13872014225…… 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

@佘娣524:只用两片74LS138设计一个全加器 -
宇弘13872014225…… 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

@佘娣524:试分别用下列方法设计全加器. (1) 用与非门; (2) 用或非门; (3... - 上学吧
宇弘13872014225…… ENTITY adder IS PORT(a,b,c: IN bit; s,c0: OUT bit); END adder; ARCHITECTURE one OF adder IS SIGNAL y_n:bit_vector(7 DOWNTO 0); BEGIN decoder:PROCESS(a,b,c) VARIABLE y:bit_vector(7 DOWNTO 0); BEGIN y := (OTHERS => '1'); ...

@佘娣524:如何用集成二进制译码器74LS138和与非门构成全加器 -
宇弘13872014225…… 我这里建议你使用两片74LS138芯片 一片控制s函数 一片控制C函数 由于每片芯片都有三个使能端,你只要每片都选用一个就行了 其余的使能端接地就行了.关键的地方来,使用第一片芯片用于函数S 那么这片芯片的使能端接1 为什么 自己去想.那么如何去判断要使用进位信号呢 这里你就要使用三个 输入 ABC 请你记住 当只有 三个变量中 有两个或两个以上同时为1时 才进位,也就是说 控制C函数的芯片才被激活 好了 具体咋做 自己去设计了哈 我已经给你了讲够明白的了 在电脑上画图 不好画 你自己去画吧!

相关推荐

  • 74ls138proteus仿真图
  • 用138译码器设计全加器
  • 译码器74138全减器
  • 74138和7420设计全加器
  • 74ls138引脚图及功能
  • 74ls138与74s20全加器
  • 用138设计一位全加器
  • 74ls138设计全减器
  • 74ls138逻辑功能图
  • 用138译码器实现全加器
  • 74ls138实现三人表决器
  • 用74ls138设计一个全减器
  • 74ls138芯片原理图
  • 74ls138和74ls20全加器
  • 74ls138内部逻辑图
  • 74ls138引脚图
  • 用74ls138实现一位全减器
  • 74ls138做一位全减器
  • 74ls138设计电路步骤
  • 74ls138全减器原理
  • 74138全加器接线图
  • 74ls138与74ls20实验
  • 用74ls138构成脉冲分配器
  • 74ls138三人表决器
  • 74ls138全减器真值表
  • 74ls151和74ls138有区别吗
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网