74ls138设计一个全加器

@冷富4225:用74ls138设计一个全加器 - 作业帮
武苇18227723289…… [答案] 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@冷富4225:用74ls138设计一个全加器 -
武苇18227723289…… Y4.Y5.Y7 ___________ — — — — Ci=Y3.Y6设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2

@冷富4225:用3/8译码器74LS138和门电路构成全加器,写出逻辑表达式,画出电路图, - 作业帮
武苇18227723289…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器. 全加器有3个输入端:a,b,ci;有2个输出端:s,co. 与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7). 这里可以把3-8译码器的3个数据输入端当...

@冷富4225:用74LS138和与非门实现全加器 呼呼 电路图啊 接线图啊详细点呗 谢谢哈 - 作业帮
武苇18227723289…… [答案] 首先得弄清楚全加器的原理,你这里说的应该是设计1位的全加器.全加器有3个输入端:a,b,ci;有2个输出端:s,co.与3-8译码器比较,3-8译码器有3个数据输入端:A,B,C;3个使能端;8个输出端,OUT(0-7).这里可以把3-8译码器...

@冷富4225:只用两片74LS138设计一个全加器 -
武苇18227723289…… 不为什么.就是可以做成全加器 用74LS138设 可以构成一位全加器,STb(低电平)和STc(低电平有效)两个接地 STa高电平 A0 A1 A2 为输入 输出公式没法写上来

@冷富4225:求用两片74ls138设计一个四位全加器的电路图??谢谢 -
武苇18227723289…… 如果是设来计四位加法器还可以,但已经很麻烦了.可是设计全加器自是不能的,因全加器有5个变量,译码器要有32个输出端,而百两片74LS138却只有16个输出端,怎么做?那需要4片,那电路可麻烦死度了,也没有意义画这么复杂的电路了.知 可现在的问道题是,两片74LS138是做不来的,这是谁出的鬼题?

@冷富4225:求用两片74ls138设计一个全加器的电路图?? -
武苇18227723289…… 不用两片74LS138呀,只用一片74LS138和一片74LS20就能搞定了.

@冷富4225:设计一位全加器 ,74ls138 +2个四输入与非门构成的全加器,用vhdl语言设计程序. -
武苇18227723289…… ENTITY adder IS PORT(a,b,c: IN bit; s,c0: OUT bit); END adder; ARCHITECTURE one OF adder IS SIGNAL y_n:bit_vector(7 DOWNTO 0); BEGIN decoder:PROCESS(a,b,c) VARIABLE y:bit_vector(7 DOWNTO 0); BEGIN y := (OTHERS => '1'); ...

@冷富4225:用74ls138怎样设计全加器做电路图用什么软件 -
武苇18227723289…… 设A为加数B为被加数 低位进位为Ci-1 和为S 进位为Ci A B Ci-1 S Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 ___________ — — — — S=Y1.Y2.Y4.Y7 ___________ — — — — Ci=Y3.Y5.Y6.Y7 接线图我就不帮你画了

@冷富4225:能否用74ls139设计一位全加器 -
武苇18227723289…… 74ls139是双2线-4线译码器,只有4个输出Y0~Y3,是不能设计一位全加器或全减器.因为,一位全加器,要有两个加数,A,B,还有一位进位Cy,共三位变量,就有8个组合,即对应000~111,要用译码器,就要用8个输出端:Y0~Y7,因此,这要用3线-8线译码器,74LS138来做.

相关推荐

  • 用译码器设计全加器
  • 74ls138做一位全减器
  • 74ls139引脚图及功能
  • 74ls138译码器怎么画
  • 用74ls00设计一个半加器
  • 译码器设计一位全加器
  • 74ls138和20设计全加器
  • 74ls138实现三人表决器
  • 用74hc138设计一位全加器
  • 74ls138设计电路步骤
  • 用74ls138和74ls20实现全加器
  • 138一位全加器与非门
  • 用74ls138设计一个全减器
  • 74ls138和74ls20全加器
  • 74ls138全减器设计过程
  • 一位全加器电路图
  • 74ls138和74ls20设计全加器
  • 用38译码器设计全加器
  • 用138和20设计全加器
  • 用74ls153设计一个全减器
  • 138译码器实现全加器
  • 用74hc138设计全加器
  • 74ls138三人表决器
  • 全加器电路图
  • 74138译码器
  • 用一片74hc138实现全加器
  • 本文由网友投稿,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
    若有什么问题请联系我们
    2024© 客安网